Follow
Dou Chunmeng
Dou Chunmeng
IMECAS
Verified email at ime.ac.cn
Title
Cited by
Cited by
Year
Conducting polymer nanostructures: template synthesis and applications in energy storage
L Pan, H Qiu, C Dou, Y Li, L Pu, J Xu, Y Shi
International journal of molecular sciences 11 (7), 2636-2657, 2010
3892010
CMOS-integrated memristive non-volatile computing-in-memory for AI edge processors
WH Chen, C Dou, KX Li, WY Lin, PY Li, JH Huang, JH Wang, WC Wei, ...
Nature Electronics 2 (9), 420-428, 2019
1932019
Formation of multiple conductive filaments in the Cu/ZrO2: Cu/Pt device
Q Liu, C Dou, Y Wang, S Long, W Wang, M Liu, M Zhang, J Chen
Applied Physics Letters 95 (2), 2009
1332009
Hybrid memristor-CMOS neurons for in-situ learning in fully hardware memristive spiking neural networks
X Zhang, J Lu, Z Wang, R Wang, J Wei, T Shi, C Dou, Z Wu, J Zhu, ...
Science Bulletin 66 (16), 1624-1633, 2021
652021
One transistor one electrolyte‐gated transistor based spiking neural network for power‐efficient neuromorphic computing system
Y Li, Z Xuan, J Lu, Z Wang, X Zhang, Z Wu, Y Wang, H Xu, C Dou, Y Kang, ...
Advanced Functional Materials 31 (26), 2100042, 2021
622021
Memristive Devices for Brain-Inspired Computing: From Materials, Devices, and Circuits to Applications-Computational Memory, Deep Learning, and Spiking Neural Networks
S Spiga, A Sebastian, D Querlioz, B Rajendran
Woodhead Publishing, 2020
462020
Resistive switching behavior of a CeO2 based ReRAM cell incorporated with Si buffer layer
C Dou, K Kakushima, P Ahmet, K Tsutsui, A Nishiyama, N Sugii, K Natori, ...
Microelectronics Reliability 52 (4), 688-691, 2012
432012
Graphene overcoats for ultra-high storage density magnetic media
N Dwivedi, AK Ott, K Sasikumar, C Dou, RJ Yeo, B Narayanan, U Sassi, ...
Nature communications 12 (1), 2854, 2021
382021
Efficient and robust nonvolatile computing-in-memory based on voltage division in 2T2R RRAM with input-dependent sensing control
L Wang, W Ye, C Dou, X Si, X Xu, J Liu, D Shang, J Gao, F Zhang, Y Liu, ...
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (5), 1640-1644, 2021
342021
Determination of energy and spatial distribution of oxide border traps in In0. 53Ga0. 47As MOS capacitors from capacitance–voltage characteristics measured at various temperatures
C Dou, D Lin, A Vais, T Ivanov, HP Chen, K Martens, K Kakushima, H Iwai, ...
Microelectronics Reliability 54 (4), 746-754, 2014
342014
Fully memristive SNNs with temporal coding for fast and low-power edge computing
X Zhang, Z Wu, J Lu, J Wei, J Lu, J Zhu, J Qiu, R Wang, K Lou, Y Wang, ...
2020 IEEE International Electron Devices Meeting (IEDM), 29.6. 1-29.6. 4, 2020
302020
Temperature dependence of frequency dispersion in III–V metal-oxide-semiconductor CV and the capture/emission process of border traps
A Vais, HC Lin, C Dou, K Martens, T Ivanov, Q Xie, F Tang, M Givens, ...
Applied Physics Letters 107 (5), 2015
292015
Nonvolatile circuits-devices interaction for memory, logic and artificial intelligence
CM Dou, WH Chen, CX Xue, WY Lin, WE Lin, JY Li, HT Lin, M Chang
2018 IEEE Symposium on VLSI Technology, 171-172, 2018
272018
STICKER-IM: A 65 nm computing-in-memory NN processor using block-wise sparsity optimization and inter/intra-macro data reuse
J Yue, Y Liu, Z Yuan, X Feng, Y He, W Sun, Z Zhang, X Si, R Liu, Z Wang, ...
IEEE Journal of Solid-State Circuits 57 (8), 2560-2573, 2022
242022
A 4T2R RRAM bit cell for highly parallel ternary content addressable memory
X Wang, L Wang, Y Wang, J An, C Dou, Z Wu, X Zhang, J Liu, C Zhang, ...
IEEE Transactions on Electron Devices 68 (10), 4933-4937, 2021
242021
A 28nm 16.9-300TOPS/W computing-in-memory processor supporting floating-point NN inference/training with intensive-CIM sparse-digital architecture
J Yue, C He, Z Wang, Z Cong, Y He, M Zhou, W Sun, X Li, C Dou, F Zhang, ...
2023 IEEE International Solid-State Circuits Conference (ISSCC), 1-3, 2023
222023
Challenges of emerging memory and memristor based circuits: Nonvolatile logics, IoT security, deep learning and neuromorphic computing
C Dou, WH Chen, YJ Chen, HT Lin, WY Lin, MS Ho, MF Chang
2017 IEEE 12th international conference on ASIC (ASICON), 140-143, 2017
182017
Energy efficient and robust reservoir computing system using ultrathin (3.5 nm) ferroelectric tunneling junctions for temporal data learning
J Yu, Y Li, W Sun, W Zhang, Z Gao, D Dong, Z Yu, Y Zhao, J Lai, Q Ding, ...
2021 Symposium on VLSI Technology, 1-2, 2021
172021
An ADC-less RRAM-based computing-in-memory macro with binary CNN for efficient edge AI
Y Li, J Chen, L Wang, W Zhang, Z Guo, J Wang, Y Han, Z Li, F Wang, ...
IEEE Transactions on Circuits and Systems II: Express Briefs, 2023
142023
A 14nm 100Kb 2T1R Transpose RRAM with> 150X resistance ratio enhancement and 27.95% reduction on energy-latency product using low-power near threshold read operation and fast …
L Wang, W Ye, J Lai, J Liu, J Yang, X Si, C Huo, C Dou, X Xu, Q Liu, ...
2021 Symposium on VLSI Technology, 1-2, 2021
142021
The system can't perform the operation now. Try again later.
Articles 1–20